forked from FPHDL/fphdl
-
Notifications
You must be signed in to change notification settings - Fork 0
/
numeric_std_unsigned.html
47 lines (46 loc) · 1.68 KB
/
numeric_std_unsigned.html
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
<!DOCTYPE HTML PUBLIC "-//IETF//DTD HTML//EN">
<html><head><title>Package numeric_std_unsigned</title>
<!-- Changed by: , 26-Aug-2009 -->
<meta name="description" content="VHDL package numeric_std_unsigned">
<meta name="author" content="[email protected]">
<META NAME=keywords CONTENT="standard_addtions, VHDL, VHDL-2008">
<META NAME=identifier-url CONTENT="http://vhdl.org/fphdl/numeric_std_unsigned.html">
<META NAME=distribution CONTENT=Global>
<META NAME=rating CONTENT=General>
<META NAME=copyright CONTENT=>
<META NAME=resource-type CONTENT=document>
<META NAME=reply-to CONTENT="[email protected]">
<META NAME=robots CONTENT=all>
<META NAME=language CONTENT=en>
<META HTTP-EQUIV=CONTENT-type CONTENT=text/html; charset=iso-8859-1>
</head>
<body bgcolor="#FFFFFF" text="#000000" link="#0000FF" vlink="#007F00">
<p>
<p>
<a href="numeric_std_unsigned_c.vhdl">numeric_std_unsigned.vhdl</a> This package
is a "standardized" verion of "std_logic_unsigned" which appears in many
vendor tools.
</p>
<p>
Use model:<br>
<b>use ieee.std_logic_1164.all;<br>
use ieee.numeric_std.all;<br>
use ieee_proposed.numeric_std_additions.all;</b>
use ieee_proposed.numeric_std_unsigned.all;</b>
</p>
<p>VHDL-2008 use model:<br>
<b>use ieee.numeric_std_unsigned.all;</b>
</p>
<p>
Dependencies: ieee.std_logic_1164, ieee.numeric_std
</p>
<p>
This package treats "std_logic_vector" and "std_ulogic_vector" just like the
"unsigned" type in ieee.numeric_std. It has all of the funcitionality of the
old "std_logic_unsigned" package with the ability to use "std_ulogic_vector".
</p>
Please send feedback to <em>David W. Bishop
<a href="mailto:[email protected]">[email protected]</a></em>.  
</font>
</body>
</html>