Skip to content

Commit

Permalink
Propagate command failures in shell scripts by chaining them with &&.
Browse files Browse the repository at this point in the history
  • Loading branch information
yurivict committed Jan 12, 2020
1 parent 1ffbd27 commit 2ff3cff
Show file tree
Hide file tree
Showing 3 changed files with 7 additions and 9 deletions.
2 changes: 1 addition & 1 deletion share/cascade/de10/assemble_de10.sh
Original file line number Diff line number Diff line change
Expand Up @@ -2,5 +2,5 @@

#1 = path/to/intel/tools

$1/bin/quartus_asm DE10_NANO_SoC_GHRD.qpf
$1/bin/quartus_asm DE10_NANO_SoC_GHRD.qpf &&
$1/bin/quartus_cpf -c sof2rbf.cof
4 changes: 2 additions & 2 deletions share/cascade/de10/build_de10.sh
Original file line number Diff line number Diff line change
Expand Up @@ -2,6 +2,6 @@

#1 = path/to/intel/tools

$1/sopc_builder/bin/qsys-generate soc_system.qsys --synthesis=VERILOG
$1/bin/quartus_map DE10_NANO_SoC_GHRD.qpf
$1/sopc_builder/bin/qsys-generate soc_system.qsys --synthesis=VERILOG &&
$1/bin/quartus_map DE10_NANO_SoC_GHRD.qpf &&
$1/bin/quartus_fit DE10_NANO_SoC_GHRD.qpf
10 changes: 4 additions & 6 deletions share/cascade/ulx3s/build_ulx3s_32.sh
Original file line number Diff line number Diff line change
Expand Up @@ -2,10 +2,8 @@

# $1 = unique compilation name

cd $1

yosys -q -p "synth_ecp5 -json root32.json" root32.v
nextpnr-ecp5 --json root32.json --textcfg root32.config --lpf ulx3s_v20.lpf --85k --package CABGA381
ecppack --idcode 0x41113043 root32.config root32.bit

cd $1 &&
yosys -q -p "synth_ecp5 -json root32.json" root32.v &&
nextpnr-ecp5 --json root32.json --textcfg root32.config --lpf ulx3s_v20.lpf --85k --package CABGA381 &&
ecppack --idcode 0x41113043 root32.config root32.bit &&
cd -

0 comments on commit 2ff3cff

Please sign in to comment.