Skip to content

Commit

Permalink
Update license test to 2020.
Browse files Browse the repository at this point in the history
  • Loading branch information
LarsAsplund authored and eine committed Jan 15, 2020
1 parent 9b684a6 commit b06474f
Show file tree
Hide file tree
Showing 428 changed files with 432 additions and 432 deletions.
2 changes: 1 addition & 1 deletion LICENSE.txt
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@ VUnit
VUnit except for OSVVM (see below) is released under the terms of
Mozilla Public License, v. 2.0.

Copyright (c) 2014-2019, Lars Asplund [email protected]
Copyright (c) 2014-2020, Lars Asplund [email protected]

OSVVM
-----
Expand Down
2 changes: 1 addition & 1 deletion README.rst
Original file line number Diff line number Diff line change
Expand Up @@ -24,7 +24,7 @@ VUnit
VUnit except for OSVVM (see below) is released under the terms of
Mozilla Public License, v. 2.0.

Copyright (c) 2014-2019, Lars Asplund [email protected]
Copyright (c) 2014-2020, Lars Asplund [email protected]

OSVVM
-----
Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/uart/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

"""
SystemVerilog UART
Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/uart/src/test/tb_uart_rx.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
// License, v. 2.0. If a copy of the MPL was not distributed with this file,
// You can obtain one at http://mozilla.org/MPL/2.0/.
//
// Copyright (c) 2014-2019, Lars Asplund [email protected]
// Copyright (c) 2014-2020, Lars Asplund [email protected]

`include "vunit_defines.svh"

Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/uart/src/test/tb_uart_tx.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
// License, v. 2.0. If a copy of the MPL was not distributed with this file,
// You can obtain one at http://mozilla.org/MPL/2.0/.
//
// Copyright (c) 2014-2019, Lars Asplund [email protected]
// Copyright (c) 2014-2020, Lars Asplund [email protected]

`include "vunit_defines.svh"

Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/uart/src/uart_rx.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
// License, v. 2.0. If a copy of the MPL was not distributed with this file,
// You can obtain one at http://mozilla.org/MPL/2.0/.
//
// Copyright (c) 2014-2019, Lars Asplund [email protected]
// Copyright (c) 2014-2020, Lars Asplund [email protected]

module uart_rx(clk, rx, overflow, tready, tvalid, tdata);
parameter integer cycles_per_bit = 434;
Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/uart/src/uart_tx.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
// License, v. 2.0. If a copy of the MPL was not distributed with this file,
// You can obtain one at http://mozilla.org/MPL/2.0/.
//
// Copyright (c) 2014-2019, Lars Asplund [email protected]
// Copyright (c) 2014-2020, Lars Asplund [email protected]

module uart_tx(clk, tx, tready, tvalid, tdata);
parameter integer cycles_per_bit = 434;
Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/user_guide/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

"""
SystemVerilog User Guide
Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/user_guide/tb_example.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
// License, v. 2.0. If a copy of the MPL was not distributed with this file,
// You can obtain one at http://mozilla.org/MPL/2.0/.
//
// Copyright (c) 2014-2019, Lars Asplund [email protected]
// Copyright (c) 2014-2020, Lars Asplund [email protected]

// You do not need to worry about adding vunit_defines.svh to your
// include path, VUnit will automatically do that for you if VUnit is
Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/user_guide/tb_example_basic.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
// License, v. 2.0. If a copy of the MPL was not distributed with this file,
// You can obtain one at http://mozilla.org/MPL/2.0/.
//
// Copyright (c) 2014-2019, Lars Asplund [email protected]
// Copyright (c) 2014-2020, Lars Asplund [email protected]

// You do not need to worry about adding vunit_defines.svh to your
// include path, VUnit will automatically do that for you if VUnit is
Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/verilog_ams/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

from os.path import join, dirname
from vunit.verilog import VUnit
Expand Down
2 changes: 1 addition & 1 deletion examples/verilog/verilog_ams/tb_dut.sv
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
// License, v. 2.0. If a copy of the MPL was not distributed with this file,
// You can obtain one at http://mozilla.org/MPL/2.0/.
//
// Copyright (c) 2014-2019, Lars Asplund [email protected]
// Copyright (c) 2014-2020, Lars Asplund [email protected]

`include "vunit_defines.svh"

Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/array/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

"""
Array
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/array/src/sobel_x.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/array/src/test/tb_sobel_x.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/array_axis_vcs/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

"""
Array and AXI4 Stream Verification Components
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/array_axis_vcs/src/axis_buffer.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
context ieee.ieee_std_context;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/array_axis_vcs/src/fifo.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
context ieee.ieee_std_context;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/array_axis_vcs/src/test/tb_axis_loop.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

-- This testbench is a Minimum Working Example (MWE) of VUnit's resources to read/write CSV files and to verify
-- AXI4-Stream components. A CSV file that contains comma separated integers is read from `data_path & csv_i`, and it is
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

"""
AXI DMA
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/axi_burst_gen.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/axi_dma.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/axi_dma_regs.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/axi_dma_regs_pkg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/axi_pkg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/axil_pkg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

-- Defines AXI4-lite data bus types

Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/test/tb_axi_dma.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/test/tb_axi_dma_regs.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/test/tb_util_pkg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

-- vunit: run_all_in_same_sim

Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/axi_dma/src/util_pkg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.math_real.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/check/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

"""
Check
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/check/tb_example.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/com/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

"""
Communication library
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/com/src/adder.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/com/test/memory_bfm.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/com/test/memory_bfm_pkg.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/com/test/tb_user_guide.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/composite_generics/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

"""
Composite generics
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]
library ieee;
use ieee.std_logic_1164.all;

Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/coverage/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

from os.path import join, dirname
from vunit import VUnit
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/coverage/tb_coverage.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

package pkg is
attribute attr : string;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/external_buffer/cp.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

from vunit import VUnit
from os import popen
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/external_buffer/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2019, Lars Asplund [email protected]
# Copyright (c) 2014-2020, Lars Asplund [email protected]

"""
External Buffer
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/external_buffer/src/tb_ext_byte_vector.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2019, Lars Asplund [email protected]
-- Copyright (c) 2014-2020, Lars Asplund [email protected]

-- NOTE: This file is expected to be used along with foreign languages (C)
-- through VHPIDIRECT: https://ghdl.readthedocs.io/en/latest/using/Foreign.html
Expand Down
Loading

0 comments on commit b06474f

Please sign in to comment.