Skip to content

Commit

Permalink
Updated more license notices
Browse files Browse the repository at this point in the history
  • Loading branch information
LarsAsplund authored and kraigher committed Feb 12, 2018
1 parent 9e47c6f commit 1357617
Show file tree
Hide file tree
Showing 92 changed files with 157 additions and 79 deletions.
2 changes: 1 addition & 1 deletion examples/vhdl/array/src/test/tb_sobel_x.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2017, Lars Asplund [email protected]
-- Copyright (c) 2014-2018, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/com/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2017, Lars Asplund [email protected]
# Copyright (c) 2014-2018, Lars Asplund [email protected]

from os.path import join, dirname
from vunit import VUnit
Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/com/src/adder.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/com/test/memory_bfm.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
context vunit_lib.com_context;
Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/com/test/memory_bfm_pkg.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
context vunit_lib.com_context;
Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/com/test/tb_user_guide.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
context vunit_lib.com_context;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/generate_tests/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2017, Lars Asplund [email protected]
# Copyright (c) 2014-2018, Lars Asplund [email protected]

from os.path import join, dirname
from itertools import product
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/run/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2017, Lars Asplund [email protected]
# Copyright (c) 2014-2018, Lars Asplund [email protected]

from os.path import join, dirname
from vunit import VUnit
Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/run/tb_counting_errors.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;

Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/run/tb_magic_paths.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2017, Lars Asplund [email protected]
-- Copyright (c) 2014-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/run/tb_many_ways_to_fail.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;

Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/run/tb_minimal.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;

Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/run/tb_running_test_case.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;

Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/run/tb_standalone.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;

Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/run/tb_with_lower_level_control.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;

Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/run/tb_with_test_cases.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;

Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/run/tb_with_watchdog.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;

Expand Down
6 changes: 6 additions & 0 deletions examples/vhdl/run/test_control.vhd
Original file line number Diff line number Diff line change
@@ -1,3 +1,9 @@
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2017-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;

Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/third_party_integration/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015-2017, Lars Asplund [email protected]
# Copyright (c) 2015-2018, Lars Asplund [email protected]

from os.path import join, dirname
from vunit import VUnit
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/uart/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2017, Lars Asplund [email protected]
# Copyright (c) 2014-2018, Lars Asplund [email protected]

from os.path import join, dirname
from vunit import VUnit
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/uart/src/test/tb_uart_rx.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2017, Lars Asplund [email protected]
-- Copyright (c) 2014-2018, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/uart/src/test/tb_uart_tx.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2017, Lars Asplund [email protected]
-- Copyright (c) 2014-2018, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/uart/src/uart_rx.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2017, Lars Asplund [email protected]
-- Copyright (c) 2014-2018, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/uart/src/uart_tx.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2017, Lars Asplund [email protected]
-- Copyright (c) 2014-2018, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/vivado/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2017, Lars Asplund [email protected]
# Copyright (c) 2014-2018, Lars Asplund [email protected]

from os.path import join, dirname
from vunit import VUnit
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/vivado/src/test/tb_top.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2015-2017, Lars Asplund [email protected]
-- Copyright (c) 2015-2018, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/vivado/src/top.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2015-2017, Lars Asplund [email protected]
-- Copyright (c) 2015-2018, Lars Asplund [email protected]

library ieee;
use ieee.std_logic_1164.all;
Expand Down
2 changes: 1 addition & 1 deletion examples/vhdl/vivado/vivado_util.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015-2017, Lars Asplund [email protected]
# Copyright (c) 2015-2018, Lars Asplund [email protected]

from subprocess import check_call
import os
Expand Down
2 changes: 1 addition & 1 deletion incisive_vhdl_fixup.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2016-2017, Lars Asplund [email protected]
# Copyright (c) 2016-2018, Lars Asplund [email protected]

"""
Perform necessary modifications to VUnit VHDL code to support
Expand Down
2 changes: 1 addition & 1 deletion setup.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015-2017, Lars Asplund [email protected]
# Copyright (c) 2015-2018, Lars Asplund [email protected]

from setuptools import setup
from vunit.about import version, doc
Expand Down
2 changes: 1 addition & 1 deletion vunit/csv_logs.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2017, Lars Asplund [email protected]
# Copyright (c) 2014-2018, Lars Asplund [email protected]

"""
Provides csv log functionality
Expand Down
2 changes: 1 addition & 1 deletion vunit/database.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015-2017, Lars Asplund [email protected]
# Copyright (c) 2015-2018, Lars Asplund [email protected]

"""
A simple file based database
Expand Down
2 changes: 1 addition & 1 deletion vunit/design_unit.py
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2017, Lars Asplund [email protected]
# Copyright (c) 2017-2018, Lars Asplund [email protected]

"""
Classes representing Entites, Architectures, Packades, Modules etc
Expand Down
2 changes: 1 addition & 1 deletion vunit/location_preprocessor.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2017, Lars Asplund [email protected]
# Copyright (c) 2014-2018, Lars Asplund [email protected]

"""
Preprocessing of VHDL files to add file_name and line_num arguments to function calls
Expand Down
2 changes: 1 addition & 1 deletion vunit/ostools.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2017, Lars Asplund [email protected]
# Copyright (c) 2014-2018, Lars Asplund [email protected]

"""
Provides operating systems dependent functionality that can be easily
Expand Down
2 changes: 1 addition & 1 deletion vunit/parsing/encodings.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2014-2017, Lars Asplund [email protected]
# Copyright (c) 2014-2018, Lars Asplund [email protected]

"""
Define common encodings
Expand Down
2 changes: 1 addition & 1 deletion vunit/parsing/tokenizer.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015-2017, Lars Asplund [email protected]
# Copyright (c) 2015-2018, Lars Asplund [email protected]

"""
A general tokenizer
Expand Down
2 changes: 1 addition & 1 deletion vunit/parsing/verilog/parser.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015-2017, Lars Asplund [email protected]
# Copyright (c) 2015-2018, Lars Asplund [email protected]

# pylint: disable=unused-wildcard-import
# pylint: disable=wildcard-import
Expand Down
2 changes: 1 addition & 1 deletion vunit/parsing/verilog/preprocess.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015-2017, Lars Asplund [email protected]
# Copyright (c) 2015-2018, Lars Asplund [email protected]

# pylint: disable=unused-wildcard-import
# pylint: disable=wildcard-import
Expand Down
2 changes: 1 addition & 1 deletion vunit/parsing/verilog/tokenizer.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015-2017, Lars Asplund [email protected]
# Copyright (c) 2015-2018, Lars Asplund [email protected]

# pylint: disable=unused-wildcard-import
# pylint: disable=wildcard-import
Expand Down
2 changes: 1 addition & 1 deletion vunit/persistent_tcl_shell.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2016-2017, Lars Asplund [email protected]
# Copyright (c) 2016-2018, Lars Asplund [email protected]

"""
A persistent TCL shell to avoid startup overhead in TCL-based simulators
Expand Down
2 changes: 1 addition & 1 deletion vunit/test/acceptance/artificial/verilog/run.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
# License, v. 2.0. If a copy of the MPL was not distributed with this file,
# You can obtain one at http://mozilla.org/MPL/2.0/.
#
# Copyright (c) 2015-2017, Lars Asplund [email protected]
# Copyright (c) 2015-2018, Lars Asplund [email protected]

from os.path import join, dirname
from vunit.verilog import VUnit
Expand Down
2 changes: 1 addition & 1 deletion vunit/test/acceptance/artificial/vhdl/tb_magic_paths.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2015-2017, Lars Asplund [email protected]
-- Copyright (c) 2015-2018, Lars Asplund [email protected]

library vunit_lib;
context vunit_lib.vunit_context;
Expand Down
Loading

0 comments on commit 1357617

Please sign in to comment.