-
bringup-bench Public
Forked from toddmaustin/bringup-benchBringup-Bench is a collection of standalone minimal library and system dependence benchmarks useful for bringing up newly designed CPUs, accelerators, compilers and operating systems. You probably …
C Other UpdatedAug 23, 2023 -
sv-parser Public
Forked from dalance/sv-parserSystemVerilog parser library fully compliant with IEEE 1800-2017
Rust Other UpdatedJul 27, 2023 -
-
awesome-zero-knowledge-proofs Public
Forked from matter-labs/awesome-zero-knowledge-proofsA curated list of awesome things related to learning Zero-Knowledge Proofs (ZKP).
Other UpdatedMar 28, 2023 -
cocotb Public
Forked from cocotb/cocotbcocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Python BSD 3-Clause "New" or "Revised" License UpdatedFeb 21, 2023 -
iverilog Public
Forked from steveicarus/iverilogIcarus Verilog
C++ GNU General Public License v2.0 UpdatedFeb 18, 2023 -
verilog-axis Public
Forked from alexforencich/verilog-axisVerilog AXI stream components for FPGA implementation
Python MIT License UpdatedFeb 17, 2023 -
cocotbext-axi Public
Forked from alexforencich/cocotbext-axiAXI interface modules for Cocotb
Python MIT License UpdatedFeb 13, 2023 -
OpenSpike Public
Forked from sfmth/OpenSpikeFully opensource spiking neural network accelerator
Verilog GNU General Public License v3.0 UpdatedFeb 4, 2023 -
cocotb-test Public
Forked from themperek/cocotb-testUnit testing for cocotb
Python BSD 2-Clause "Simplified" License UpdatedJan 26, 2023 -
zkevm-prover Public
Forked from 0xPolygonHermez/zkevm-proverzkEVM prover in C++
C++ UpdatedJan 19, 2023 -
open-nic Public
Forked from Xilinx/open-nicAMD OpenNIC Project Overview
Shell Apache License 2.0 UpdatedDec 20, 2022 -
-
winterfell Public
Forked from facebook/winterfellA STARK prover and verifier for arbitrary computations
Rust MIT License UpdatedDec 14, 2022 -
axi Public
Forked from pulp-platform/axiAXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication
SystemVerilog Other UpdatedSep 30, 2022 -
cva6 Public
Forked from openhwgroup/cva6The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux
C++ Other UpdatedSep 16, 2022 -
Goldilocks_Benchmarks Public
Forked from hermeznetwork/Goldilocks_BenchmarksC++ UpdatedJul 13, 2022 -
Readings-in-Computer-Architectures Public
Forked from IAMAl/Readings-in-Computer-ArchitecturesReadings in Computer Architectures
Creative Commons Zero v1.0 Universal UpdatedJul 2, 2022 -
ces_util_lib Public
Forked from farnamatic/ces_util_libCES VHDL utility library, with packages, memories, FIFOs, Clock Domain Crossing and more useful VHDL modules
VHDL MIT License UpdatedJan 17, 2022 -
GZIPdecomp_Alveo Public
Forked from ThomasMKarl/GZIPdecomp_AlveoDecompression of GZIP files for Xilinx Alveo
C++ UpdatedJul 2, 2021 -
pysvinst Public
Forked from sgherbst/pysvinstPython library for parsing module definitions and instantiations from SystemVerilog files
Python MIT License UpdatedApr 29, 2021 -
fpga_snark_prover Public
Forked from bsdevlin/fpga_snark_proverAn acceleration engine for proving SNARKS over the bn128 curve, targeted for AWS FPGAs
SystemVerilog UpdatedMay 21, 2020 -
A-convolution-kernel-implemented-by-Vivado-HLS Public
Forked from lirui-shanghaitech/A-convolution-kernel-implemented-by-Vivado-HLSThis project implements a convolution kernel based on vivado HLS on zcu104
C++ UpdatedMar 15, 2020 -
Deploying_CNN_on_FPGA_using_OpenCL Public
Forked from Er1cZ/Deploying_CNN_on_FPGA_using_OpenCLSqueezenet V1.1 on Cyclone V SoC-FPGA at 450ms/image, 20x faster than ARM A9 processor alone. A project for 2017 Innovate FPGA design contest.
Objective-C MIT License UpdatedJun 27, 2018