Skip to content

Commit

Permalink
Fix linter errors at Alhambra-II examples
Browse files Browse the repository at this point in the history
  • Loading branch information
cavearr committed Jan 12, 2025
1 parent 03c8961 commit 884eabd
Show file tree
Hide file tree
Showing 6 changed files with 22 additions and 508 deletions.
3 changes: 3 additions & 0 deletions .gitignore
Original file line number Diff line number Diff line change
Expand Up @@ -16,6 +16,9 @@
.venv/
build/
dist/
ice-build
ice-build/
ice-build/*
_build/
_dist/
htmlcov/
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,6 @@
// Testbench template

`default_nettype none
`define DUMPSTR(x) `"x.vcd`"
`timescale 10 ns / 1 ns


Expand All @@ -26,7 +25,7 @@ module main_tb
localparam constant_acel_ini = -2;

// Input/Output
reg Botón;
reg Boton;
wire [7:0] LED;

// Module instance
Expand All @@ -35,19 +34,18 @@ module main_tb
.v315560(constant_vel_ini),
.v0f02ae(constant_acel_ini)
) MAIN (
.v68e749(Botón),
.v68e749(Boton),
.vb5f8d6(LED)
);

initial begin
// File were to store the simulation results
$dumpfile(`DUMPSTR(`VCD_OUTPUT));
$dumpvars(0, main_tb);

// TODO: initialize the registers here
// e.g. value = 1;
// e.g. #2 value = 0;
Botón = 0;
Boton = 0;

#(DURATION) $display("End of simulation");
$finish;
Expand Down
2 changes: 0 additions & 2 deletions test-examples/ice40/alhambra-ii/icestudio-ledon/ledon_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,6 @@
// Testbench template

`default_nettype none
`define DUMPSTR(x) `"x.vcd`"
`timescale 10 ns / 1 ns


Expand All @@ -29,7 +28,6 @@ module main_tb

initial begin
// File were to store the simulation results
$dumpfile(`DUMPSTR(`VCD_OUTPUT));
$dumpvars(0, main_tb);

// TODO: initialize the registers here
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,6 @@
// Testbench template

`default_nettype none
`define DUMPSTR(x) `"x.vcd`"
`timescale 10 ns / 1 ns


Expand All @@ -21,42 +20,40 @@ module main_tb

// TODO: edit the module parameters here
// e.g. localparam constant_value = 1;
localparam constant_Constant = 4'hA;
localparam constant_Constant = 4'h5;
localparam constant_Constant_0 = 4'hA;
localparam constant_Constant_1 = 4'h5;

// Input/Output
reg Button;
reg Button;
wire LED;
wire LED;
reg Button_0;
reg Button_1;
wire LED0;
wire LED1;
wire [3:0] LEDs;
wire LED;
wire LED;
wire LED2;
wire LED3;

// Module instance
main #(
.v2af3e8(constant_Constant),
.v98e11a(constant_Constant)
.v2af3e8(constant_Constant_0),
.v98e11a(constant_Constant_1)
) MAIN (
.v17b894(Button),
.vf8383a(Button),
.v7b511e(LED),
.v6ef206(LED),
.v7b511e(LED0),
.v6ef206(LED1),
.v1469d9(LEDs),
.v6898ff(LED),
.v1e39f8(LED)
.v6898ff(LED2),
.v1e39f8(LED3)
);

initial begin
// File were to store the simulation results
$dumpfile(`DUMPSTR(`VCD_OUTPUT));
$dumpvars(0, main_tb);

// TODO: initialize the registers here
// e.g. value = 1;
// e.g. #2 value = 0;
Button = 0;
Button = 0;
Button_0 = 0;
Button_1 = 0;

#(DURATION) $display("End of simulation");
$finish;
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -9,7 +9,6 @@
// Testbench template

`default_nettype none
`define DUMPSTR(x) `"x.vcd`"
`timescale 10 ns / 1 ns


Expand All @@ -36,8 +35,6 @@ module main_tb
);

initial begin
// File were to store the simulation results
$dumpfile(`DUMPSTR(`VCD_OUTPUT));
$dumpvars(0, main_tb);

// TODO: initialize the registers here
Expand Down
Loading

0 comments on commit 884eabd

Please sign in to comment.